Skip to main content

AIDA-CMK: Multi-Algorithm Optimization Kernel Applied to Analog IC Sizing

  • Book
  • © 2015

Overview

  • Introduces the area of analog IC design automation
  • Gives emphasis to the automatic circuit sizing
  • Presents an overview of the state of the art in analog circuit optimization
  • Includes supplementary material: sn.pub/extras

Part of the book series: SpringerBriefs in Applied Sciences and Technology (BRIEFSAPPLSCIENCES)

Part of the book sub series: SpringerBriefs in Computational Intelligence (BRIEFSINTELL)

This is a preview of subscription content, log in via an institution to check access.

Access this book

eBook USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Other ways to access

Licence this eBook for your library

Institutional subscriptions

Table of contents (7 chapters)

Keywords

About this book

This work addresses the research and development of an innovative optimization kernel applied to analog integrated circuit (IC) design. Particularly, this works describes the modifications inside the AIDA Framework, an electronic design automation framework fully developed by at the Integrated Circuits Group-LX of the Instituto de Telecomunicações, Lisbon. It focusses on AIDA-CMK, by enhancing AIDA-C, which is the circuit optimizer component of AIDA, with a new multi-objective multi-constraint optimization module that constructs a base for multiple algorithm implementations. The proposed solution implements three approaches to multi-objective multi-constraint optimization, namely, an evolutionary approach with NSGAII, a swarm intelligence approach with MOPSO and stochastic hill climbing approach with MOSA. Moreover, the implemented structure allows the easy hybridization between kernels transforming the previous simple NSGAII optimization module into a more evolved and versatile module supporting multiple single and multi-kernel algorithms. The three multi-objective optimization approaches were validated with CEC2009 benchmarks to constrained multi-objective optimization and tested with real analog IC design problems. The achieved results were compared in terms of performance, using statistical results obtained from multiple independent runs. Finally, some hybrid approaches were also experimented, giving a foretaste to a wide range of opportunities to explore in future work.

Authors and Affiliations

  • Instituto de Telecomunicações, Instituto de Telecomunicações/Instituto, Lisbon, Portugal

    Ricardo Lourenço

  • Instituto Técnico Superior, Lisbon, Portugal

    Nuno Lourenço

  • Instituto de Telecomunicacoes ICG-LX, Lisboa, Portugal

    Nuno Horta

Bibliographic Information

Publish with us